Step 2: Running Report QoR Suggestions - 2021.1 English

Vivado Design Suite Tutorial: Design Analysis and Closure Techniques (UG938)

Document ID
UG938
Release Date
2021-07-14
Version
2021.1 English

This step covers running the report_qor_suggestions command to generate a report. The command can be run on an open design at any stage of the implementation flow after synthesis. In project mode, this is typically after synthesis or implementation. In non-project mode, this can be after synth_design, link_design, opt_design, place_design, phys_opt_design, or route_design.

  1. In the Vivado IDE, from the pull down menus, click Reports > Report QoR Suggestions… to bring up the dialog box shown in the following figure.

  2. Click OK to run the command. The report opens automatically in the integrated design environment (IDE). Due to the interactive nature of the report, only one instance of the report can be open at any time.
Built into the running of this command is the report_qor_assessment command when run from within the Vivado IDE. This command uses the design metrics and the same timing paths to make an assessment of how likely the design is to meet timing. In Tcl, this command is not called automatically, so must be called separately. The equivalent Tcl commands are as follows:
report_qor_assessment -max_paths 100 -file rqa.rpt
report_qor_suggestions -max_paths 100 -file rqs.rpt
The command will:
  • Generate an assessment report.
  • Examine the design and generate new suggestions.
  • Generate a report on the suggestions.
Note: By default, the RQS command reports on the 100 worst failing paths per clock group. You can change the number of paths that RQS uses for the analysis of timing-critical paths by modifying the -max_paths switch. Increasing this number generates more suggestions, but on paths that are reducing in criticality.