Conclusion - 2021.1 English

Vivado Design Suite Tutorial: Designing with IP (UG939)

Document ID
UG939
Release Date
2021-07-19
Version
2021.1 English

Using the Non-Project Mode gives you the greatest control over the Vivado® Design Suite, and gives you access to advanced features that may not be available in Project Mode. However, Non-Project Mode also requires manually managing source files, updating the design when source files have changed, and manually planning and running synthesis and implementation strategies. Specific areas covered in this lab are:

  • Reading in Verilog source files and reading IP sources.
  • Generating required IP output products for synthesis and implementation, and disabling them as needed.
  • Querying the upgradability of an IP, and updating to a newer version when appropriate.
  • Creating synthesis design checkpoints (DCPs) for IP.
  • Manually running synthesis and individual steps of implementation.
  • Generating custom reports.