ML Strategies - 2021.1 English

UltraFast Design Methodology Guide for Xilinx FPGAs and SoCs (UG949)

Document ID
UG949
Release Date
2021-08-18
Version
2021.1 English

Machine learning (ML) strategies allow you to quickly obtain an optimized strategy for your design. You can generate strategy suggestion objects on a routed design by running the report_qor_suggestions command. To use ML strategies, you must run the implementation flow as follows:

  • In Project Mode, use the Default or PerformanceExplore strategy.
  • In Non-Project Mode, enable opt_design and phys_opt_design, and set all of the directives to either Default or Explore. A mix of Default and Explore is not allowed.

To activate strategy objects, an RQS file with the strategy suggestion must be read in before running opt_design, and the directives for all commands must be set to RQS. For information on the strategy suggestion flow, see the "Strategy Suggestions" section in the Vivado Design Suite User Guide: Design Analysis and Closure Techniques (UG906).

For best results, ensure the design is ML Strategy ready by running report_qor_assessment, as described in this link in the Vivado Design Suite User Guide: Design Analysis and Closure Techniques (UG906). For a design to be ML Strategy ready, typically methodology checks must be resolved and the design must have a report QoR assessment (RQA) score of 3 or higher. If a design meets this criteria and is still not ML Strategy ready, use report_qor_suggestions to improve the RQA score.