No Input/Output Delays and Partial Input/Output Delays - 2021.1 English

UltraFast Design Methodology Guide for Xilinx FPGAs and SoCs (UG949)

Document ID
UG949
Release Date
2021-08-18
Version
2021.1 English
All I/O ports must be properly constrained.