Using IP Integrator in Non-Project Mode - 2021.1 English

Vivado Design Suite User Guide: Designing IP Subsystems Using IP Integrator (UG994)

Document ID
UG994
Release Date
2021-06-16
Version
2021.1 English

Non-Project Mode is for users who want to manage their own design data and manually track the design state. In this mode, Vivado® tools read the various source files and implement the design in-memory throughout the entire design flow. At any stage of the implementation process, you can generate a variety of reports to examine the state of your design.

When running in Non-Project Mode, it is also important to note that the Vivado tool does not enable project-based features such as: source file and design run management, out-of-context (OOC) synthesis, cross-probing back to source files, and design state reporting. Essentially, each time a source file is updated on the disk, you must know about it and reload the design. There are no default reports or intermediate files created within the non-project mode.

You need to have a script to control the creation of reports with Tcl commands. For details of working in non-project mode see this link in Vivado Design Suite User Guide: Design Flows Overview (UG892).

Note: If the block design is already generated with one of the out-of-context (OOC) option set, the block design can be added to the non-project flow. If the block design is not generated ahead of adding the block design to the project, you will get an error notifying that the block design must be generated prior to adding it to the non-project flow. If global synthesis option is used, then the block design can be generated within the non-project flow.