AXI4-Stream 工作原理 - 2021.2 Chinese

Vitis 高层次综合用户指南 (UG1399)

Document ID
UG1399
Release Date
2021-12-15
Version
2021.2 Chinese

AXI4-Stream 是专为传输任意单向数据而设计的协议。在 AXI4-Stream 中,TDATA 位宽是按时钟周期来传输的。当生产者发出 TVALID 信号,并且使用者通过发送 TREADY 信号来响应(使用者已使用初始 TDATA)后,即可立即开始传输。此时,生产者将开始发送 TDATATLAST(如果需要,它还会发送 TUSER 以承载其它用户定义的边带数据)。TLAST 信号表示串流的最后一个字节。因此,使用者会持续使用传入的 TDATA,直至 TLAST 断言有效为止。

图 1. AXI4-Stream 握手

AXI4-Stream 具有其它可选功能特性,如随 TKEEP 端口和 TSTRB 端口发送定位数据,这样即可在 TDATA 信号上对数据位置和数据本身进行多路复用。通过使用 TIDTDIST 信号,即可对这些串流进行布线,因为这些字段与串流标识符和串流目标标识符之间存在大致对应关系。如需了解更多信息,请参阅 Vivado Design Suite:AXI 参考指南(UG1037) AMBA AXI4-Stream 协议规范(ARM IHI 0051A)