C++ 语言模型和头文件 - 2021.2 Chinese

Vitis 高层次综合用户指南 (UG1399)

Document ID
UG1399
Release Date
2021-12-15
Version
2021.2 Chinese

Blackbox C/C++ files页面中,提供了 C++ 文件用于构成 RTL IP 的功能模型。此 C++ 模型仅在 C++ 仿真和 C++/RTL 协同仿真期间使用。此 RTL IP 与 Vitis HLS 相结合即可构成综合输出。

图 1. Blackbox C/C++ files页面

在此页面中,可执行以下操作:

  • 单击Add Files以添加文件。
  • 单击Edit CFLAGS以向 C 语言功能模型提供连接器标志。
  • 单击Next以继续。

C File Wizard页面允许您指定用于 RTL IP 的 C 语言功能模型的值。其中字段包括:

C Function
即,C 语言函数;用于指定 RTL IP 的 C 语言函数名称。
C Argument Name
即,C 语言实参名称;用于指定函数实参的名称。这些名称应与 IP 上的端口相关。
C Argument Type
即,C 语言实参类型;用于指定用于每个实参的数据类型。
C Port Direction
即,C 语言端口方向;用于指定实参的端口方向,对应于 IP 中的端口。
RAM Type
即,RAM 类型;用于指定在接口处使用的 RAM 类型。
RTL Group Configuration
即,RTL 组配置;用于指定对应的 RTL 信号名称。
图 2. C File Wizard页面

单击Next以继续。