vitis_hls 命令 - 2021.2 Chinese

Vitis 高层次综合用户指南 (UG1399)

Document ID
UG1399
Release Date
2021-12-15
Version
2021.2 Chinese

默认情况下,在 Vitis™ HLS 集成设计环境 (IDE) 模式下会打开 vitis_hls 命令。但您也可以交互方式运行 vitis_hls、从命令行指定命令或者指定 Tcl 脚本以在批处理模式下运行。

您可使用 -help 选项来查看哪些选项可搭配 vitis_hls 一起使用:
vitis_hls -help

vitis_hls 命令支持下列选项:

  • -f <string>:运行指定 Tcl 脚本启动 Vitis HLS。当此 Tcl 脚本运行结束后,除非已从脚本调用 quitexit,否则该工具会在交互模式下保持打开(如下所述)。
    提示: 在交互模式下运行该工具时,可输入 help 命令显示可用 Vitis HLS 命令的列表:
    vitis_hls> help
  • -i:该选项会在交互模式下调用该工具并显示命令提示符,这样即可随时接收任何 Vitis HLS 命令,如 工程命令配置命令最优化指令 中所述。
  • -l <string>:定义 Vitis HLS log 日志文件的名称和位置。默认情况下,该工具会在启动 Vitis HLS 的目录中创建名为 vitis_hls.log 的 log 日志文件。
  • -n | -nosplash:启动 GUI 时不显示初始屏幕。
  • -p:在 IDE 模式下打开现有工程。指定工程文件夹或者 Tcl 文件以便在启动该工具时打开工程。如果指定 Tcl 文件,则将在 IDE 中通过 open_tcl_project 自动打开此文件。
  • -terse:筛选 stdout 命令,仅显示状态为 INFO 和 WARNING 的消息。log 日志文件将包含所有消息。
  • -version:返回当前使用的 Vitis HLS 的版本。

以下示例将在命令行交互 (CLI) 模式下启动 Vitis HLS

vitis_hls -i