checkpoint_vcd - 2021.2 English

Vivado Design Suite Tcl Command Reference Guide (UG835)

Document ID
UG835
Release Date
2021-10-22
Version
2021.2 English

Create a VCD checkpoint (equivalent of Verilog $dumpall system task)

Syntax

checkpoint_vcd [‑ports] [‑quiet] [‑verbose]

Usage

Name Description
[-ports] Control extended vcd dump file.
[-quiet] Ignore command errors
[-verbose] Suspend message limits during command execution

Categories

Description

The checkpoint_vcd command inserts current HDL object signal values into the Value Change Dump (VCD) file. Nothing is returned. This Tcl command is the equivalent of the Verilog $dumpall system task, providing the initial values of the specified signals.

VCD is an ASCII file containing header information, variable definitions, and value change details of a set of HDL signals. The VCD file can be used to view simulation result in a VCD viewer or to estimate the power consumption of the design. See the IEEE Standard for Verilog Hardware Description Language (IEEE Std 1364-2005) for a description of the VCD file format.

You must execute the open_vcd and log_vcd commands before using the checkpoint_vcd command. After you execute the checkpoint_vcd command, run or rerun the simulation to capture the signal values.

Arguments

-quiet - (Optional) Execute the command quietly, returning no messages from the command. The command also returns TCL_OK regardless of any errors encountered during execution.
Note: Any errors encountered on the command-line, while launching the command, will be returned. Only errors occurring inside the command will be trapped.
-verbose - (Optional) Temporarily override any message limits and return all messages from this command.
Note: Message limits can be defined with the set_msg_config command.

Examples

The following is an example of the checkpoint_vcd command where the command dumps signal values of specified HDL objects into the open VCD file:

checkpoint_vcd