list_features - 2021.2 English

Vivado Design Suite Tcl Command Reference Guide (UG835)

Document ID
UG835
Release Date
2021-10-22
Version
2021.2 English

List available features.

Syntax

list_features [‑quiet] [‑verbose]

Usage

Name Description
[-quiet] Ignore command errors
[-verbose] Suspend message limits during command execution

Categories

Tools

Description

In order to reduce the memory footprint of the Vivado Design Suite, there are groups of Tcl commands called "features" which are unavailable for use until you run a command from that feature set, or unless you explicitly load the feature using the load_features command.

This command lists the available features sets of the Vivado Design Suite that can be loaded with the load_features command.
Note: If a feature has been previously loaded, it will not be listed as a feature available to load.

This command returns a list of features, or an error message.

Arguments

-quiet - (Optional) Execute the command quietly, returning no messages from the command. The command also returns TCL_OK regardless of any errors encountered during execution.
Note: Any errors encountered on the command-line, while launching the command, will be returned. Only errors occurring inside the command will be trapped.
-verbose - (Optional) Temporarily override any message limits and return all messages from this command.
Note: Message limits can be defined with the set_msg_config command.

Examples

The following example returns the list of features available to load into the Vivado Design Suite:
list_features