report_qor_assessment - 2021.2 English

Vivado Design Suite Tcl Command Reference Guide (UG835)

Document ID
UG835
Release Date
2021-10-22
Version
2021.2 English

Feasibility Checks

Syntax

report_qor_assessment [‑file <arg>] [‑csv_output_dir <arg>] [‑append]
    [‑exclude_methodology_checks] [‑max_paths <arg>] [‑return_string]
    [‑full_assessment_details] [‑quiet] [‑verbose]

Usage

Name Description
[-file] Filename to output results to. (send output to console if -file is not used)
[-csv_output_dir] Reports directory consisting of RQA timing paths.csv and dont touch.csv
[-append] Append the results to file, do not overwrite the results file
[-exclude_methodology_checks] Methodology checks are skipped
[-max_paths] Number of paths to consider for suggestion analysis Default: 100
[-return_string] return report as string
[-full_assessment_details] Show detailed table
[-quiet] Ignore command errors
[-verbose] Suspend message limits during command execution

Categories

Feasibility, Report, Timing

Description

This command look for trouble spots in the design and assesses the likelihood of a design meeting design goals. This command requires an open elaborated, synthesized or implemented design for analysis.

The Report QoR Assessment covers multiple categories:
  • Design Methodology
  • Synthesis
  • Implementation
  • Design Hierarchy
  • Partial Reconfiguration
  • Floorplanning

The report_qor_assessment command includes a subset of report_methodology checks identifying bad practices that can lead to an expected problem. Yet, report_qor_assessment is also more comprehensive than report_methodology, because it includes other checks that are not necessarily bad practices but may have low success due to the structure, style, size, or complexity of the current design.

A key feature of Report QOR Assessment is the ability to predict certain conditions that lead to congestion and performance degradation. This command can be run at any stage of the implementation flow after synthesis. The report_qor_assessment command will return a score from 1 - 5, where 1 is a failing grade, and 5 means the design will likely pass implementation and meet timing.

For violations found by Report QOR Assessment, the Report QOR Suggestions command will make recommendations on how to avoid issues, or modify the design to improve results.

Arguments

-file <arg> - (Optional) Write the QOR Assessment report into the specified file. The specified file will be overwritten if one already exists, unless -append is also specified.
Note: If the path is not specified as part of the file name for either -file or -csv, the file will be written into the current working directory that can be identified using the [pwd] Tcl command.
-csv_output_dir - Directory to write supporting CSV files. CSV files contain:
  • Timing path details and show suggestions that are applicable to the timing path.
  • Cells and nets with DONT_TOUCH properties
-append - (Optional) Append the output of the command to the specified file rather than overwriting it.
Note: The -append option can only be used with the -file option.

-exclude_methodology_checks - (Optional) By default, methodology checks are included in the report. This switch prevents methodology checks being reported and reduces the time taken to execute the command.

-report_all_suggestions - (Optional) When running report_qor_assessment followed by report_qor_suggestions, this option should match in both commands to reduce the compile time.

-max_paths <arg> - (Optional) Specify the number of critical paths to analyze. The default is the 100 worst timing paths.

-full_assessment_details - (Optional) In the Details table, report items that have passed the checks performed.

-return_string - (Optional) Direct the output to a Tcl string rather than to the standard output. The Tcl string can be captured by a variable definition and parsed or otherwise processed.

-quiet - (Optional) Execute the command quietly, returning no messages from the command. The command also returns TCL_OK regardless of any errors encountered during execution.
Note: Any errors encountered on the command-line, while launching the command, will be returned. Only errors occurring inside the command will be trapped.
-verbose - (Optional) Temporarily override any message limits and return all messages from this command.
Note: Message limits can be defined with the set_msg_config command.

Examples

Report suggestions after analyzing the worst 10 paths.
report_qor_assessment -max_paths 10