Generics (Parameters) Mapping - 2021.2 English

Vivado Design Suite User Guide: Logic Simulation (UG900)

Document ID
UG900
Release Date
2021-10-22
Version
2021.2 English

The Vivado simulator supports the following VHDL generic types (and their Verilog/SV equivalents):

  • integer
  • real
  • string
  • boolean
    Note: Any other generic type found on mixed language boundary is considered an error.