SystemVerilog Support in Vivado Simulator - 2021.2 English

Vivado Design Suite User Guide: Logic Simulation (UG900)

Document ID
UG900
Release Date
2021-10-22
Version
2021.2 English

The Vivado simulator supports the subset of SystemVerilog. The synthesizable set of SystemVerilog is listed in the following table. The supported test bench features are listed in Table 1.