Completing the CDC Analysis and Constraints - 2021.2 English

Vivado Design Suite User Guide: Using Constraints (UG903)

Document ID
UG903
Release Date
2021-11-17
Version
2021.2 English

The Timing Constraints wizard does not recognize some valid CDC topologies that are not based on simple synchronizers. The report_cdc command provides a powerful and more comprehensive view of the CDC paths that need structural correction in order to become safe. Refer to the Vivado Design Suite User Guide: Design Analysis and Closure Techniques (UG906) for detailed information about report_cdc.

For the cases where the wizard does not recommend a constraint due to the presence of some set_max_delay -datapath_only, the other CDC paths that are normally timed must be reviewed individually and possibly ignored by additional false path constraints. The creation of point-to-point false path constraints must be done in the XDC file, in the Tcl Console, or in the Timing Constraints window after exiting the wizard.