Consequences of Setting Maximum Delay or Minimum Delay Constraints on a Path - 2021.2 English

Vivado Design Suite User Guide: Using Constraints (UG903)

Document ID
UG903
Release Date
2021-11-17
Version
2021.2 English

When -datapath_only option is not used, setting a Maximum Delay constraint on a path, does not modify the minimum requirement on that path. The hold (or removal) check on that path remains the default one.

Note: Using the -datapath_only option with set_max_delay results in the hold requirement being ignored on that/those path(s) (some internal set_false_path -hold constraints are generated).

Similarly, setting a Minimum Delay constraint on a path does not modify the default setup (or recovery) check.

If a path has only, for example, a max delay requirement, the path can be constrained with a combination of set_max_delay and set_false_path commands. See the following example:

set_max_delay 5 -from [get_pins FD1/C] -to [get_pins FD2/D] set_false_path -hold -from [get_pins FD1/C] -to [get_pins FD2/D]

The above example sets a 5 ns setup requirement for the path starting on FD1/C and ending on FD2/D. There is no minimum requirement due to the set_false_path command.