Exceptions Priority Example - 2021.2 English

Vivado Design Suite User Guide: Using Constraints (UG903)

Document ID
UG903
Release Date
2021-11-17
Version
2021.2 English
>	set_max_delay 12 -from [get_clocks clk1] -to [get_clocks clk2]
>	set_max_delay 15 -from [get_clocks clk1]

In this example, the first constraint overrides the second constraint for the paths from clk1 to clk2.

The number of -through options used in an exception does not affect the precedence. The timing engine uses the tightest constraint.

>	set_max_delay 12 -from [get_cells inst0] -to [get_cells inst1]
>	set_max_delay 15 -from [get_clocks clk1] -through [get_pins hier0/p0] -to [get_cells inst1]
In this example, the first constraint only uses cell objects and the second constraint uses a clock object. Although inst0 is clocked by clk1, the first constraint overrides the second constraint for the paths from cell inst0 to cell inst1.