Recommended Asynchronous Clock Groups Constraints - 2021.2 English

Vivado Design Suite User Guide: Using Constraints (UG903)

Document ID
UG903
Release Date
2021-11-17
Version
2021.2 English

The Timing Constraints wizard recommends a set_clock_groups -asynchronous constraint between two clocks when the following conditions are present:

  • All paths have synchronizers in both directions.
  • No path is covered by a set_max_delay -datapath_only in either direction (set_clock_groups has higher precedence and overrides any existing set_max_delay).