Incremental Implementation Flow Designs - 2021.2 English

Vivado Design Suite User Guide: Implementation (UG904)

Document ID
UG904
Release Date
2021-11-24
Version
2021.2 English

As shown in the previous figure, the Incremental Run requires a reference checkpoint to be read in to start the incremental place and route. The read_checkpoint -incremental <reference>.dcp command initiates the incremental flow and must be issued before place_design.

Reference Design

The reference design is typically a fully routed checkpoint from a previous iteration or a different variation of the incremental design. If using a different variation or a design, it is important that the hierarchy names from the reference design match the incremental design.

When lower levels of reuse are required, for example reusing only RAM and DSP block placement, it is acceptable to have as little as the placement information for those cells in the reference checkpoint. The reference design must match device. It is also recommended to match tool version but this is not a requirement.

Incremental Design

The incremental design is the updated design that is to be run through the implementation tools. It can include RTL Changes, Netlist Changes, or both.

Constraint changes are allowed but general tightening of constraints will significantly impact placement and routing and is generally best added outside of the incremental flow.