Object Properties - 2021.2 English

Vivado Design Suite User Guide: Implementation (UG904)

Document ID
UG904
Release Date
2021-11-24
Version
2021.2 English

The read_checkpoint -incremental command assigns two cell properties which are useful for analyzing incremental flow results using scripts or interactive Tcl commands.

IS_REUSED
A boolean property on cell, port, net, and pin objects. The property is set to TRUE on the respective object if any of the following incremental data is reused:
  • A cell placement
  • A package pin assignment for a port
  • Any portion of the routing for a net
  • Routing to a pin
REUSE_STATUS
A string property on cells and nets denoting the reuse status after incremental placement and routing.

Possible values for cells are:

  • New
  • Reused
  • Discarded placement to improve timing
  • Discarded illegal placement due to netlist changes

Possible values for nets are:

  • REUSED
  • NON_REUSED
  • PARTIALLY_REUSED
IS_MATCHED
A Boolean property assigned to a primitive-level cell. The property is set to TRUE on leaf cells that have matching leaf cells in the reference design. Matching cells are eligible for placement reuse.
Tip: Xilinx has published several applications in XHUB, in the Incremental Compile package. These applications include visualization of placement and routing reuse when analyzing critical path and other design views. Also included is an application for automatic Incremental Compile for the project flow, which automatically manages reference checkpoints for incremental design runs.
Tip: For more information on how to effectively use incremental compile, see this link in the UltraFast Design Methodology Guide for Xilinx FPGAs and SoCs (UG949).