Repeating phys_opt_design Design Changes - 2021.2 English

Vivado Design Suite User Guide: Implementation (UG904)

Document ID
UG904
Release Date
2021-11-24
Version
2021.2 English

The design flow for repeating phys_opt_design design changes is shown in the following figure.

Figure 1. Design Flow when Repeating phys_opt_design Changes

This flow differs from the retrofit flow in two aspects:

  • The iphys_opt_design changes are incorporated after place_design instead of beforehand.
  • Both placement changes as well as netlist changes are captured in the iphys_opt_design Tcl script.

Typically, you would use this flow to gain more control over the post-place phys_opt_design step. Custom "recipes" are created from combinations of replayed optimizations and new optimizations resulting in many possibilities for exploration of design closure.

The write_iphys_opt_tcl and read_iphys_opt_tcl commands have a -place option to replay the placement changes from phys_opt_design. This option should be used in this flow to repeat phys_opt_design steps after placement.