Routing Example Script 2 - 2021.2 English

Vivado Design Suite User Guide: Implementation (UG904)

Document ID
UG904
Release Date
2021-11-24
Version
2021.2 English
# Get the nets in the top 10 critical paths, assign to $preRoutes set preRoutes [get_nets -of [get_timing_paths -max_paths 10]]

# route $preRoutes first with the smallest possible delay route_design -nets [get_nets $preRoutes] -delay

# preserve the routing for $preRoutes and continue with the rest of the design route_design -preserve

In this example script, a few critical nets are routed first, followed by routing of the entire design. It illustrates routing individual nets and pins (nets in this case), which is typically done to address specific routing issues such as:

  • Pre-routing critical nets and locking down resources before a full route.
  • Manually unrouting non-critical nets to free up routing resources for more critical nets.

The first route_design command initializes the router and routes essential nets, such as clocks.