Routing Example Script 4 - 2021.2 English

Vivado Design Suite User Guide: Implementation (UG904)

Document ID
UG904
Release Date
2021-11-24
Version
2021.2 English
route_design
# Unroute all the nets in u0/u1, and route the critical nets first 
route_design -unroute [get_nets u0/u1/*]
route_design -delay -nets [get_nets $myCritNets] 
route_design -preserve

The strategy in this example script illustrates one possible way to address timing failures due to congestion. In the example design, some critical nets represented by $myCritNets need routing resources in the same device region as the nets in instance u0/u1. The nets in u0/u1 are not as timing-critical, so they are unrouted to allow the critical nets $myCritNets to be routed first, with the smallest possible delay. Then route_design -preserve routes the entire design. The -preserve switch preserves the routing of $myCritNets while the unrouted u0/u1 nets are re-routed. Table 1 summarizes the commands in the example.