Run Section - 2021.2 English

Vivado Design Suite User Guide: Implementation (UG904)

Document ID
UG904
Release Date
2021-11-24
Version
2021.2 English

The Run section of the ECO Navigator, shown in the figure below, provides access to all the commands required to implement the current changes.

Figure 1. ECO Navigator Run Commands

Check ECO
Runs the ECO checks rule deck on the current design.
Tip: The Vivado tools allows you to make netlist changes unconditionally using the ECO commands. However, logical changes can lead to invalid physical implementation. Run the Check ECO function to flag any invalid netlist changes or new physical restrictions that need to be addressed before physical implementation can commence.
Optimize Logical Design
In some cases, it is desirable to run opt_design on the modified design to optimize the netlist. This command opens the Optimize Logical Design dialog box, allowing you to specify options for the opt_design command. Any options that are entered in the dialog box are appended to the opt_design command as they are typed. For example, to run opt_design -sweep, type -sweep under Options.
Figure 2. Optimize Logical Design Dialog Box

Place Design
Runs incremental place_design on the modified netlist as long as 75% or more of the placement can be reused. The Incremental Placement Summary at the end of place_design provides statistics on incremental reuse. Selecting this command opens the Place Design dialog box and allows you to specify options for the place_design command. Any options that are entered in the dialog box are appended to the place_design command as they are typed.

Refer to Incremental Implementation for additional information on Incremental Place and Route.

Figure 3. Place Design Dialog Box

Optimize Physical Design
In some cases it is desirable to run phys_opt_design on the modified design to perform physical optimization on the netlist. This command opens the Optimize Physical Design dialog box and allows you to specify options for the phys_opt_design command. Any options that are entered in the dialog box are appended to the phys_opt_design command as they are typed. For example, to run phys_opt_design -fanout_opt, type -fanout_opt under Options.
Figure 4. Optimize Physical Design Dialog Box

Route Design
Selecting this command opens the Route Design dialog box. Depending on the selection, this command allows you to perform an Incremental Route of the modifications made to the design, Route the selected pin, or Route selected nets. If Incremental Route is selected on a modified netlist that has less than 75% of reused nets, the tool reverts to the non-incremental route_design.
Figure 5. Route Design Dialog Box

Refer to Incremental Implementation for additional information on incremental Place and Route.

Depending on your selection, you have four options to route the ECO changes:

  • Incremental Route: This is the default option.
  • Route selected pin: This option limits the route operation to the selected pin.
  • Route selected non-Power nets: This option routes only the selected signal nets.
  • Route selected Power nets: This option routes only the selected VCC/GND nets.