Saving Post-Reuse Checkpoints - 2021.2 English

Vivado Design Suite User Guide: Implementation (UG904)

Document ID
UG904
Release Date
2021-11-24
Version
2021.2 English

After read_checkpoint -incremental applies the reference checkpoint to the current design, the incremental reuse data is retained throughout the flow. If a checkpoint is saved, then reloaded in the same or a separate Vivado Design Suite session, it remains in incremental compile mode. Consider the following command sequence:

opt_design; # optimize the current design
read_checkpoint -incremental reference.dcp; # apply reference data to current design write_checkpoint incr.dcp; # save a snapshot of the current design
read_checkpoint incr.dcp 
place_design
write_checkpoint top_placed.dcp; # save incremental placement result route_design

Upon read_checkpoint incr.dcp, the Vivado tools determine that incremental data exists, and the subsequent place_design and route_design commands run incrementally.

Even if you exit and restart the Vivado Design Suite, in the following command sequence the route_design command is run in incremental mode, using the routing data from the original reference checkpoint reference.dcp:

read_checkpoint top_placed.dcp 
phys_opt_design
route_design