Step 3: Read Design Constraints - 2021.2 English

Vivado Design Suite User Guide: Implementation (UG904)

Document ID
UG904
Release Date
2021-11-24
Version
2021.2 English

The Vivado Design Suite uses design constraints to define requirements for both the physical and timing characteristics of the design.

For more information, see Guiding Implementation with Design Constraints.

The read_xdc command reads an XDC constraint file, then applies it to the in-memory design.

Tip: Although Project Mode supports the definition of constraint sets, containing multiple constraint files for different purposes, Non-Project Mode uses multiple read_xdc commands to achieve the same effect.