Tcl Commands and Options - 2021.2 English

Vivado Design Suite User Guide: Implementation (UG904)

Document ID
UG904
Release Date
2021-11-24
Version
2021.2 English

Some command line options in the Xilinx® Vivado® IDE implementation are one-to-one equivalents of Xilinx Integrated Software Environment (ISE®) Design Suite commands.

The following table lists various ISE tool command line options, and their equivalent Vivado Design Suite Tcl command and Tcl command options. For more information about Tcl commands, see the Vivado Design Suite Tcl Command Reference Guide (UG835) or type <command> -help.

Table 1. ISE Command Map
ISE Command Vivado Tcl Command and Option
ngdbuild -p partname link_design -part partname
ngdbuild -a (insert pads) synth_design -mode out_of_context (opposite)
ngdbuild -u (unexpanded blocks) Enabled by default, generates critical warnings.
ngdbuild -quiet link_design -quiet
map -detail opt_design -verbose
map -lc auto Enabled by default in place_design
map -logic_opt opt_design and phys_opt_design
map -mt place_design automatically runs multi-threaded. See Multithreading with the Vivado Tools for details.
map -ntd place_design -non_timing_driven
map -power power_opt_design
map -u link_design -mode out_of_context, opt_design

-retarget (skip constant propagation and sweep)

par -mt route_design automatically runs multi-threaded. See Multithreading with the Vivado Tools for details.
par -k The route_design command is always re-entrant.
par -nopad The -nopad behavior is the Vivado tools default behavior. You must use report_io to obtain the PAD file report generated by PAR.
par -ntd route_design -no_timing_driven