Using Incremental Implementation - 2021.2 English

Vivado Design Suite User Guide: Implementation (UG904)

Document ID
UG904
Release Date
2021-11-24
Version
2021.2 English

In both Project Mode and Non-Project Mode, incremental implementation mode is entered when you load the reference design checkpoint using the read_checkpoint -incremental <dcp_file> command where <dcp_file> specifies the path and file name of the reference design checkpoint. Loading the reference design checkpoint with the -incremental option enables the Incremental Compile design flow for subsequent place and route operations. In Non-Project Mode, read_checkpoint -incremental should follow opt_design and precede place_design.