Writing Checkpoint Files - 2021.2 English

Vivado Design Suite User Guide: Implementation (UG904)

Document ID
UG904
Release Date
2021-11-24
Version
2021.2 English
Run File > Checkpoint > Write to capture a snapshot of the design database at any point in the flow. This creates a file with a dcp extension.

The related Tcl command is write_checkpoint.