Generating the QoR Assessment Report - 2021.2 English

Vivado Design Suite User Guide: Design Analysis and Closure Techniques (UG906)

Document ID
UG906
Release Date
2021-10-27
Version
2021.2 English

The report_qor_suggestions and report_qor_assessment commands are combined when running in the Vivado® IDE using the Report QoR Suggestions/Assessment... option from the Reports pulldown menu. When you are running these commands outside of the Vivado® IDE, issue each command separately as shown in the examples below.

Figure 1. Report QoR Suggestions/Assessment Dialog Box

When running in the Vivado IDE, the report_qor_suggestions command generates new suggestions and reports on existing suggestions.

The equivalent command at the Tcl console is as follows:

report_qor_suggestions -name qor_suggestions_1; # Vivado IDE only
report_qor_suggestions; # Tcl
report_qor_assessment; # Tcl
To change the timing path limit from the default of 100, change the Number of paths for suggestion analysis shown in the dialog box. The equivalent Tcl command uses the -max_paths <N> switch, where N is an integer:
report_qor_suggestions -name qor_suggestions_1 -max_paths <N> ; # Vivado IDE only
report_qor_suggestions -max_paths <N> ; # Tcl
report_qor_assessment -max_paths <N> ; # Tcl
To generate supporting CSV files as well, check the box and specify a directory. The CSV files are primarily used to show the timing paths from which suggestions are generated. A second file containing a DONT_TOUCH report is also generated.
Note: DONT_TOUCH properties prevent the tools from optimizing paths and can be added through the use of other properties automatically by Vivado. Removing DONT_TOUCH properties should be done with care. For instance, the DFX flow uses DONT_TOUCH to prevent cross boundary optimizations between the static and reconfigurable module, so should not be removed. A DONT_TOUCH property added as a result of MARK_DEBUG, by contrast, is not critical to the flow but means that the signal is not available for hardware probing if optimized.
The equivalent Tcl command uses the -csv_output_dir switch:
report_qor_suggestions  -name qor_suggestions_1 -csv_output_dir <directory>; Vivado IDE only
report_qor_suggestions -csv_output_dir <directory>; # Tcl
report_qor_assessment -csv_output_dir <directory>; # Tcl