Ignored Objects Section - 2021.2 English

Vivado Design Suite User Guide: Design Analysis and Closure Techniques (UG906)

Document ID
UG906
Release Date
2021-10-27
Version
2021.2 English

This section reports the ignored startpoint and endpoints, organized by constraint type. This is equivalent to running report_exceptions -ignored_objects from the Tcl Console.

Figure 1. Report Exceptions: Ignored Objects

The table column Position represents the timing constraint position number that matches the position number reported inside the TCE. You can double-click a row to be redirected to the selected constraint inside the TCE. An alternative is to right-click on the row and select View Constraint in the popup menu.

The table columns Ignored Startpoints and Ignored Endpoints report the ignored pins. A pin is ignored when it is not a valid startpoint or endpoint, depending on which of the -from and -to pattern the pin was specified. A constraint can span over multiple rows, depending on the number of pins that are reported. Use the hyperlinks to select the design objects. After selection, the properties can be reviewed in the Property page and the schematic opened by pressing the F4 key.