Working with Tcl - 2021.2 English

Vivado Design Suite User Guide: Getting Started

Document ID
UG910
Release Date
2021-10-27
Version
2021.2 English

If you prefer to work directly with Tcl, you can interact with your design using Tcl commands using either of the following methods:

  • Enter individual Tcl commands in the Vivado Design Suite Tcl shell outside of the Vivado IDE.
  • Enter individual Tcl commands in the Tcl Console at the bottom of the Vivado IDE.
  • Run Tcl scripts from the Vivado Design Suite Tcl shell.
  • Run Tcl scripts from the Vivado IDE.

For more information about using Tcl and Tcl scripting, see the Vivado Design Suite User Guide: Using Tcl Scripting (UG894). For a step-by-step tutorial that shows how to use Tcl in the Vivado tools, see the Vivado Design Suite Tutorial: Design Flows Overview (UG888).