Step 1: Generating an ML Strategy RQS File - 2021.2 English

Vivado Design Suite Tutorial: Design Analysis and Closure Techniques

Document ID
UG938
Release Date
2021-11-17
Version
2021.2 English
This step shows the process of opening a routed design with QoR Suggestions and generating a new RQS file with strategies. For details on the design, refer to Step 1: Understanding the Design.
  1. In the Vivado Design Suite, go to File > Project > Open and select the project located in <extract_Dir>/Lab3/project_2.

  2. In the Flow Navigator, click Open Implemented Design.
  3. From the pull-down menus, select Reports > Report QoR Suggestions/Assessment ..., and click OK.
  4. In the RQA Summary table, you will see the QoR Assessment Score and Flow Guidance. This table helps identify good candidate designs on which to use ML strategy suggestions. QoR assessment scores of 3 and above have a chance to meet timing. Designs with an RQA score of less than 3 are not prevented from generating ML strategies.

  5. Click ML Strategy Availability. This table details the required directives for the reference run to generate strategies.

    The status for all directives must be listed as OK to generate strategies. The requirements are as follows:

    • The opt_design directive value must be either Default or Explore.
    • The place_design, phys_opt_design, and route_design conditions must be the same as each other and must be set to either Default or Explore.
  6. In the Design Runs window, confirm the strategy is Vivado Implementation Defaults. This requirement is met when a design has been run with either the Vivado Implementation Defaults or the performance_explore strategy.
  7. In the QoR suggestion report, select GENERATED. Three new strategies have been generated but they are not selectable because strategy generation is currently only available using Tcl.

  8. Select RQS_STRAT-71-1. Here, you can see the details of the strategy being suggested. It is possible to set these up manually, but to automate the process more easily, the recommended flow is to read an RQS file containing strategies and set the directive to RQS on the implementation commands.

  9. At the Tcl console, ensure you are in a suitable writable directory. This can be at the same level as the project. Issue the write_qor_suggestions command to write out the suggestions as shown in the following example.
    file mkdir <extract_Dir>/Lab3/project_2/ML_STRAT
    cd <extract_Dir>/Lab3/project_2/ML_STRAT
    write_qor_suggestions -strategy_dir ./

    This writes one RQS file per strategy. Each RQS file also contains all of the other suggestions that are not strategy suggestions. This ensures that you can use all the other QoR suggestions and that there is no confusion as to which strategy suggestion the tools should select.