Step 2: Creating ML Strategy Runs - 2021.2 English

Vivado Design Suite Tutorial: Design Analysis and Closure Techniques

Document ID
UG938
Release Date
2021-11-17
Version
2021.2 English
In this step, you will use the files generated to create ML strategy project-based runs.
  1. Examine the contents of the ML_STRAT directory.

    You can see the following contents:

    • 3 x RQS files
    • 3 x project-based Tcl scripts
    • 3 x non-project-based Tcl scripts

    The RQS files are common for both project and non-project flows. The non-project scripts are examples of how to use the RQS file. The project-based scripts can be sourced. Each of the three scripts references one of the RQS files. All three should be sourced.

  2. Source each of the project-based Tcl files. Each one creates a run in the Design Runs window, sets up the RQS file, and sets the directives to RQS. The run options are copied from the reference run.
    source ./impl_3Project_MLStrategyCreateRun1.tcl
    source ./impl_3Project_MLStrategyCreateRun2.tcl
    source ./impl_3Project_MLStrategyCreateRun3.tcl
  3. In the Design Runs window, select impl_2_ML_Strategy_1.

  4. In the Implementation Run Properties window, select the Properties tab and confirm that RQS_FILES is set.
  5. In the Implementation Run Properties window, select the Options and confirm the directive is set to RQS for the opt_design, place_design, phys_opt_design, and route_design commands.

    You are now set up to run with ML strategies. By the time you have an ML strategy file, you cannot generate new strategies after design changes, but you can add other suggestions.

  6. You are now ready to launch the runs. Select all the ML strategy runs, right-click, and select Launch Runs.... The runs will now proceed in parallel, and complete like a standard run.