Step 4: Generating a Report for Waived Violations - 2021.2 English

Vivado Design Suite Tutorial: Design Analysis and Closure Techniques

Document ID
UG938
Release Date
2021-11-17
Version
2021.2 English

You can generate a report for the CDC, DRC, or methodology check violations that were waived. This step shows how to generate a report for waived CDC violations using the Tcl Console as well as the Vivado IDE menu commands.