Step 3: Add XDC Constraints - 2021.2 English

Vivado Design Suite Tutorial: Designing with IP

Document ID
UG939
Release Date
2021-11-17
Version
2021.2 English
For this design, two XDC files that are required:
  • top_physical.xdc
  • top_timing.xdc
  1. Add the following lines to your script to import the XDC files into your project:
    import_files -fileset constrs_1 \ 
    {../Lab_3_4_sources/Constraints/top_timing.xdc \ 
    ../Lab_3_4_sources /Constraints/top_physical.xdc}
    Tip: The “\” character in the preceding text is used to split Tcl commands across multiple lines. This is useful for making scripts that are more readable and easier to edit.

    By default, all XDC files are used in both synthesis and implementation. However, in this case, you assign the XDC files for use as follows:

    • top_timing.xdc is used in both synthesis and implementation.
    • top_physical.xdc is used only in implementation.
  2. To disable the use of top_physical.xdc during synthesis, add the following line to your script:
    set_property used_in_synthesis false [get_files top_physical.xdc]

    This disables the used_in_synthesis property on the specified XDC file.

    The property for implementation is used_in_implementation, though you will leave that enabled (true).