Step 4: Use Third-Party Simulators - 2021.2 English

Vivado Design Suite Tutorial: Designing with IP

Document ID
UG939
Release Date
2021-11-17
Version
2021.2 English

The purpose of the Managed IP project is to create and manage IP customizations; there is no support for directly simulating IP in a Managed IP project. Customized IP can be instanced into a standard design project for simulation, in either Project or Non-Project Mode.

Xilinx® IP delivered in the Vivado® Design Suite are encrypted using industry standard IEEE P1735 encryption. Supported simulator use this encryption standard, and can run behavioral simulation.

The Vivado Design Suite includes the Vivado simulator, for mixed language simulation, as well as direct support for Mentor Graphics® Questa Advanced Simulator, ModelSim Simulator, Cadence® Incisive Enterprise Simulator (IES), Synopsys® Verilog Compiler Simulator (VCS), and Aldec®.

By default, Vivado creates a directory called ip_user_files in the same directory as the IP and Managed IP directories. This directory contains scripts for simulation with all supported simulators for all the IP created. You can use these scripts as a starting point for simulating with the IP. The scripts will reference all the files needed for simulating the IP.

You can use the following Tcl command to generate a Tcl script for the target simulator: export_simulation –simulator <simulator>

See the Vivado Design Suite User Guide: Logic Simulation (UG900) for more information on using third-party simulators, including creating scripts for running simulations outside of the Vivado Design Suite.