Step 1: Create a flat design in Vivado IP Integrator - 2021.2 English

Vivado Design Suite Tutorial: Dynamic Function eXchange (UG947)

Document ID
UG947
Release Date
2022-04-18
Version
2021.2 English
  1. Open the Vivado® IDE. In the Tcl Console, navigate to the folder where the tutorial archive has been unzipped.
  2. Next, source the first Tcl script to create a flat version of the design that will target the VCK190.
    source create_top_bd.tcl

This script performs a few tasks:

  • Creates a new project for a VCK190 target
  • Adds and customizes a collection of IP
  • Connects the IP within the block design
  • Validates and saves the block design

The create_top_bd.tcl script was generated from an existing block design by calling write_bd_tcl -no_ip_version. The only modification made to this script was to customize the project name from the default.

Figure 1. Initial Block Design
Figure 2. Initial Design Hierarchy