ML Strategies - 2021.2 English

UltraFast Design Methodology Guide for Xilinx FPGAs and SoCs

Document ID
UG949
Release Date
2021-11-19
Version
2021.2 English

Machine learning (ML) strategies allow you to quickly obtain an optimized strategy for your design. If you are running multiple implementation strategies to generate implementation results, you can use ML strategies instead to help you predict which results are most likely to generate a good result.

You can generate strategy suggestion objects on a routed design by running the report_qor_suggestions command. Prior to running this command, you must run the implementation flow as follows:

  • In Project Mode, use the Default or PerformanceExplore strategy.
  • In Non-Project Mode, use the following Tcl commands:
    • opt_design: Set the -directive option to Default or Explore.
    • place_design, phys_opt_design, and route_design: Set the -directive option to Default or Explore. The option must match across all three Tcl commands.

After generating ML strategy suggestions, you must write the suggestions using write_qor_suggestions -strategy_dir <directory>. This writes one RQS file per strategy. To activate strategy objects, an RQS file with the strategy suggestion must be read using read_qor_suggestions prior to running opt_design, and the directives for all commands must be set to RQS (for example, opt_design -directive RQS).

Xilinx recommends the following when using ML strategies:

  • For best results, resolve all methodology checks, and make sure the design has a QoR assessment score of three or higher. To verify, run report_qor_assessment after synth_design or opt_design.
  • To further enhance performance, combine ML strategy suggestions with other QoR suggestions in the same RQS file.
    Note: ML strategy suggestions are combined automatically when QoR suggestions are written. To disable this feature, use write_qor_suggestions -of_objects [get_qor_suggestions ...], and filter only the desired suggestions.

For more information, see this link in the Vivado Design Suite User Guide: Design Analysis and Closure Techniques (UG906).