Power Models Accuracy - 2021.2 English

UltraFast Design Methodology Guide for Xilinx FPGAs and SoCs

Document ID
UG949
Release Date
2021-11-19
Version
2021.2 English

The accuracy of the characterization data embedded in the tools evolves over time to reflect the device availability or manufacturing process maturity. For details, see this link in the Vivado Design Suite User Guide: Power Analysis and Optimization (UG907).

Power Tip: Power estimation is only as accurate as the data entered. Xilinx recommends conducting a thorough estimation and using the results of this estimation as well as the thermal evaluation as a design constraint.