Strategies - 2021.2 English

UltraFast Design Methodology Guide for Xilinx FPGAs and SoCs

Document ID
UG949
Release Date
2021-11-19
Version
2021.2 English
Strategies are used by the Vivado Design Suite to control both the tool options and the reports that are generated by synthesis and implementation runs in Project Mode. You can use the strategies to adjust the implementation goals and to control the reports that are generated. For more information on strategies, see the Vivado Design Suite User Guide: Implementation (UG904).
Note: Strategies are tool and version specific. In some cases, strategies might require a longer compile time.