XFpga_GetVersion - 2022.1 English

Xilinx Standalone Library Documentation: BSP and Libraries Document Collection (UG643)

Document ID
UG643
Release Date
2022-04-21
Version
2022.1 English

This function is used to validate the bitstream image.

Prototype

u32 XFpga_GetVersion(u32 *Version)

Parameters

The following table lists the XFpga_PL_ValidateImage function arguments.

Table 1. XFpga_GetVersion Arguments
Type Name Description
u32 Version xilfpga library version to read

Returns

  • XFPGA_SUCCESS if, successful
  • XFPGA_FAILURE if, unsuccessful
  • XFPGA_OPS_NOT_IMPLEMENTED, if implementation not exists