create_ip_run - 2022.1 English

Vivado Design Suite Tcl Command Reference Guide (UG835)

Document ID
UG835
Release Date
2022-05-05
Version
2022.1 English

Creates a run for the given IP.

Syntax

create_ip_run [‑force] [‑quiet] [‑verbose] <objects>

Usage

Name Description
[-force] Force regeneration of products of the given IP.
[-quiet] Ignore command errors
[-verbose] Suspend message limits during command execution
<objects> All of the IP objects (from get_ips or get_files) for which a run needs to be generated for.

Categories

Project, IPFlow

Description

Defines a synthesis and implementation run for a single IP object as specified by the get_ips command, or for the specified IP core file (XCI) as specified by the get_files command.

The IP run is used to generate the synthesis design checkpoint file (DCP) to support the out-of-context (OOC) IP flow, or to synthesize and implement an IP module in the OOC hierarchical design flow.

Two runs are created: one for synthesis, and one for implementation. The runs are named after the IP core and the run type, <ip_name>_synth_1 and <ip_name>_impl_1.

The IP source files required to synthesize the run are copied into the IP run directory. The attributes of the run can be configured with the use of the set_property command.

This command returns the name of the synthesis run created for the IP module.

Arguments

-force - (Optional) Force the creation of an IP run, even if the generated output products for the specified IP are all current.

-quiet - (Optional) Execute the command quietly, returning no messages from the command. The command also returns TCL_OK regardless of any errors encountered during execution.
Note: Any errors encountered on the command-line, while launching the command, will be returned. Only errors occurring inside the command will be trapped.
-verbose - (Optional) Temporarily override any message limits and return all messages from this command.
Note: Message limits can be defined with the set_msg_config command.
<object> - (Required) An IP object as returned by the get_ips command, or an IP file (XCI) as specified by the get_files command.
Tip: Only a single IP may be specified.

Examples

The following example creates synthesis and implementation runs for the specified IP module:

create_ip_run [get_ips add1]