export_bd_synth - 2022.1 English

Vivado Design Suite Tcl Command Reference Guide (UG835)

Document ID
UG835
Release Date
2022-05-05
Version
2022.1 English

(User-written application) Create and write a single design checkpoint and stub files for a Block Design (BD), for use with third party synthesis tools. Perform synthesis as necessary.

Syntax

export_bd_synth [‑force] [‑keep] [‑verbose] [‑quiet] <file>

Returns

(none) An error will be thrown if the command is not successful.

Usage

Name Description
[-force] Overwrite existing design checkpoint and stub files
[-keep] Keep the temporary directory and project
[-verbose] Print verbose messaging
[-quiet] Ignore command errors
<file> The Block Design file to write a synthesized checkpoint for

Description

Runs synthesis for a block design (.bd), integrates the design along with any needed sub-designs (e.g. out-of-context synthesized IP), and writes out a single design checkpoint (.dcp) of the entire synthesized design, as well as HDL stub files, for use in other synthesis tools. The output files will be placed in the same directory as the source BD file.

Arguments

-force - (Optional) Overwrite any existing design checkpoint and stub files of the same name.

-keep - (Optional) Keep the temporary directory and project after export_bd_synth has finished.

-quiet - (Optional) Execute the command quietly, returning no messages from the command. The command also returns TCL_OK regardless of any errors encountered during execution.
Note: Any errors encountered on the command-line, while launching the command, will be returned. Only errors occurring inside the command will be trapped.
-verbose - (Optional) Temporarily override any message limits and return all messages from this command.
Note: Message limits can be defined with the set_msg_config command.

<file> - (Required) The block design file (.bd) from which to export a synthesized checkpoint.

Examples

The following command will generate a synthesis checkpoint, with stub files, for the specified block design:
export_bd_synth [get_files block_1.bd]

See Also