flush_vcd - 2022.1 English

Vivado Design Suite Tcl Command Reference Guide (UG835)

Document ID
UG835
Release Date
2022-05-05
Version
2022.1 English

Flush VCD simulation output to the VCD output file (equivalent of $dumpflush verilog system task)

Syntax

flush_vcd [‑ports] [‑quiet] [‑verbose]

Usage

Name Description
[-ports] Control extended vcd dump file.
[-quiet] Ignore command errors
[-verbose] Suspend message limits during command execution

Categories

Description

Flush HDL signal information currently in memory into the specified Value Change Dump (VCD) file.

VCD is an ASCII file containing header information, variable definitions, and the value change details of a set of HDL signals. The VCD file can be used to view simulation results in a VCD viewer, or to estimate the power consumption of the design.

Note: You must run the open_vcd command to open a VCD file to write to before using the flush_vcd command.

Arguments

-quiet - (Optional) Execute the command quietly, returning no messages from the command. The command also returns TCL_OK regardless of any errors encountered during execution.
Note: Any errors encountered on the command-line, while launching the command, will be returned. Only errors occurring inside the command will be trapped.
-verbose - (Optional) Temporarily override any message limits and return all messages from this command.
Note: Message limits can be defined with the set_msg_config command.

Examples

The following example flushes the VCD buffer into the current VCD file:

flush_vcd

See Also