report_config_implementation - 2022.1 English

Vivado Design Suite Tcl Command Reference Guide (UG835)

Document ID
UG835
Release Date
2022-05-05
Version
2022.1 English

Report Implementation flow Config param

Syntax

report_config_implementation [‑file <arg>] [‑force] [‑append]
    [‑return_string] [‑quiet] [‑verbose]

Returns

Report

Usage

Name Description
[-file] Filename to output results to. Send output to console if -file is not used.
[-force] Overwrite existing file
[-append] Append to existing file
[-return_string] return report as string
[-quiet] Ignore command errors
[-verbose] Suspend message limits during command execution

Categories

Report

Description

Report the user-definable implementation flow configuration parameters for the implementation processes. These are parameters that can be modified using the config_implementation command.

This command returns the selected report or the name of the output file, or returns an error if it fails.

Arguments

-file <arg> - (Optional) Write the configuration parameters report to the specified file. The specified file will not be overwritten if one already exists, unless -force is also specified.
Note: If the path is not specified as part of the file name, the file will be written into the current working directory, or the directory from which the tool was launched.

-force - (Optional) Overwrite the specified file if it already exists.

-append - (Optional) Append the output of the command to the specified file rather than overwriting it.
Note: The -append option can only be used with the -file option.
-return_string - (Optional) Directs the output to a Tcl string rather than to the standard output. The Tcl string can be captured by a variable definition and parsed or otherwise processed.
Note: This argument cannot be used with the -file option.
-quiet - (Optional) Execute the command quietly, returning no messages from the command. The command also returns TCL_OK regardless of any errors encountered during execution.
Note: Any errors encountered on the command-line, while launching the command, will be returned. Only errors occurring inside the command will be trapped.
-verbose - (Optional) Temporarily override any message limits and return all messages from this command.
Note: Message limits can be defined with the set_msg_config command.

Examples

This example reports the user-configurable implementation parameters to the Tcl console:
report_config_implementation