report_exceptions - 2022.1 English

Vivado Design Suite Tcl Command Reference Guide (UG835)

Document ID
UG835
Release Date
2022-05-05
Version
2022.1 English

Report timing exceptions

Syntax

report_exceptions [‑from <args>] [‑rise_from <args>] [‑fall_from <args>]
    [‑to <args>] [‑rise_to <args>] [‑fall_to <args>] [‑through <args>]
    [‑rise_through <args>] [‑fall_through <args>] [‑ignored]
    [‑scope_override] [‑summary] [‑coverage] [‑ignored_objects]
    [‑count_objects] [‑write_merged_exceptions] [‑write_valid_exceptions]
    [‑no_header] [‑file <arg>] [‑append] [‑return_string] [‑name <arg>]
    [‑quiet] [‑verbose]

Usage

Name Description
[-from] From pins, ports, cells or clocks
[-rise_from] Rising from pins, ports, cells or clocks
[-fall_from] Falling from pins, ports, cells or clocks
[-to] To pins, ports, cells or clocks
[-rise_to] Rising to pins, ports, cells or clocks
[-fall_to] Falling to pins, ports, cells or clocks
[-through] Through pins, ports, cells or nets
[-rise_through] Rising through pins, ports, cells or nets
[-fall_through] Falling through pins, ports, cells or nets
[-ignored] Only report exceptions which are fully ignored
[-scope_override] Only report scoped exceptions which are fully or partially overriden
[-summary] Report a summary of all exceptions
[-coverage] Report the coverage of all timing exceptions
[-ignored_objects] Report the list of ignored startpoints and endpoints
[-count_objects] Report the number of objects in the timing exceptions
[-write_merged_exceptions] Write merged timing exceptions
[-write_valid_exceptions] Write timing exceptions with the valid objects only
[-no_header] Do not generate a report header
[-file] Filename to output results to. (send output to console if -file is not used)
[-append] Append the results to file, don't overwrite the results file
[-return_string] Return report as string
[-name] Output the results to GUI panel with this name
[-quiet] Ignore command errors
[-verbose] Suspend message limits during command execution

Categories

Report, Timing

Description

Report all timing exceptions applied to setup and hold checks defined by timing constraints in the current design, or report the exceptions on the specified timing paths.

Timing exceptions can be defined by timing constraints such as set_false_path or set_multicycle_path that change the default assumptions for timing paths in the design.

The exceptions are reported to the standard output by default, but can be redirected to a file or to a Tcl string variable.

Arguments

-from <args> - (Optional) A list of start points on the timing path to report exceptions on.

-rise_from <args> - (Optional) A list of the start points on the timing path to report exceptions on the rising-edge of the path.

-fall_from <args> - (Optional) A list of the start points on the timing path to report exceptions on the falling-edge of the path.

Important: Using the report_exceptions command with -from/-through/-to options only matches timing exceptions that have been defined with the same -from/-through/-to command line options. The specified patterns can be different but the cell, pin, and port objects must also be the same to be reported as an exception.

-to <args> - (Optional) A list of the end points for the timing path to report exceptions on.

-rise_to <args> - (Optional) A list of the end points on the timing path to report exceptions on the rising-edge of the path.

-fall_to <args> - (Optional) A list of the end points on the timing path to report exceptions on the falling-edge of the path.

-through <args> - (Optional) A list of pins, cell, or nets through which the timing path passes.

-rise_through <args> - (Optional) A list of pins, cell, or nets through which the rising-edge timing path passes.

-fall_through <args> - (Optional) Specifies the list of pins, cell, or nets through which the falling-edge timing path passes.

-ignored - (Optional) Report timing path exceptions in the current design that are fully ignored by the Vivado® timing engine. Ignored constraints could be the result of an incorrectly defined constraint, or of missing design objects, or of non-existent path. Timing exceptions that are partially overridden are not reported with this option.

-scope_override - (Optional) Report scoped timing path exceptions in the current design that are fully or partially overridden by top-level constraints. This option does not report when the scoped constraints are overridden by other scoped constraints whether from the same scope or from a different scope.

-summary - (Optional) Report a summary of all timing exceptions.

-coverage - (Optional) Report the coverage of all timing exceptions. Coverage is expressed as a percentage showing the number of pins reached by the timing exception compared to the number of pins specified by the -from/-through/-to options.

-ignored_objects - (Optional) Report the start points and endpoint objects that are part of timing path exceptions, and ignored by the timing engine.

-count_objects - (Optional) Returns the number of objects in the exceptions report.

-write_valid_exceptions - (Optional) Write only the valid timing exceptions to the report. Valid exceptions have valid start points and endpoints.

-write_merged_exceptions - (Optional) Write the merged timing exceptions. Merged timing exceptions include both the valid and invalid timing exceptions.

-no_header - (Optional) Do not write a header to the report.

-file <arg> - (Optional) Write the report into the specified file. By default the timing exceptions are reported to the standard output, or the Tcl console. The specified file will be overwritten if one already exists, unless -append is also specified.
Note: If the path is not specified as part of the file name, the file will be written into the current working directory, or the directory from which the tool was launched.
-append - (Optional) Append the output of the command to the specified file rather than overwriting it.
Note: The -append option can only be used with the -file option.
-return_string - (Optional) Directs the output to a Tcl string rather than to the standard output. The Tcl string can be captured by a variable definition and parsed or otherwise processed.
Note: This argument cannot be used with the -file option.

-name <arg> - (Optional) The name of the report view to display in the Vivado IDE when run in GUI mode. If the name has already been used in an open report view, that view will be closed and a new report opened.

-quiet - (Optional) Execute the command quietly, returning no messages from the command. The command also returns TCL_OK regardless of any errors encountered during execution.
Note: Any errors encountered on the command-line, while launching the command, will be returned. Only errors occurring inside the command will be trapped.
-verbose - (Optional) Temporarily override any message limits and return all messages from this command.
Note: Message limits can be defined with the set_msg_config command.

Examples

Report all timing exceptions in the current design.
report_exceptions
Report all timing exceptions ignored or overridden in the current design.
report_exceptions -ignored