write_qor_suggestions - 2022.1 English

Vivado Design Suite Tcl Command Reference Guide (UG835)

Document ID
UG835
Release Date
2022-05-05
Version
2022.1 English

Write QoR Suggestions to the given file

Syntax

write_qor_suggestions [‑strategy_dir <arg>] [‑tcl_output_dir <arg>]
    [‑force] [‑of_objects <args>] [‑disable_dont_touch] [‑quiet] [‑verbose]
    <file>

Usage

Name Description
[-strategy_dir] Directory to create Strategy RQS & TCL files Values: If passed a directory path, for each strategy suggested one set of RQS and TCL files will be generated.
[-tcl_output_dir] Directory to create TCL files Values: TCL files for the QoR suggestions will be generated in the provided directory.
[-force] Overwrite existing suggestions file
[-of_objects] List of QoR suggestion objects
[-disable_dont_touch] write suggestion command having DONT_TOUCH to file
[-quiet] Ignore command errors
[-verbose] Suspend message limits during command execution
<file> QoR suggestions file Values: A filename with alphanumeric characters and .rqs extension.

Categories

FileIO, Feasibility, Timing

Description

Write the QoR suggestions generated by the report_qor_suggestions command. You can combine the suggestions from the latest report with suggestions read into the design with read_qor_suggestions so that you can manage all suggestions in a single RQS file.

To write out specific QoR suggestions, use the -of_objects option. When this is not specified, all suggestions will be written.

The recommended way to manage suggestions is using RQS objects. However, it is possible to view and execute the commands using Tcl. Specifying the -tcl_output_dir option writes Tcl scripts for the automated suggestions that are property based.

Implementation strategies that use machine learning to analyze the design can be generated running report_qor_suggestions. If you specify the -strategy_dir option, multiple Tcl files and one RQS file will be written for each strategy. The Tcl files aid integration into project or non project flows. The main RQS file should not be used as the suggestions are contained in the run specific files along with strategy information.

This command returns the name of the output file created when successful, or returns an error if it fails.

Arguments

-strategy_dir <arg> - (Optional) Creates Tcl scripts and RQS files containing commands to set up implementation runs based on machine learning.

-tcl_output_dir <arg> - (Optional) Creates Tcl scripts containing XDC commands.

-force - (Optional) Overwrite the specified file if it already exists.

-of_objects <args> - (Optional) Writes the specified QoR suggestion objects as returned by the get_qor_suggestions command. This can be used to write specific QoR suggestions based on specific properties.

-disable_dont_touch - (Optional) Allows suggestions to be generated that reset the DONT_TOUCH property. This may lead to slightly lower MTBF and signals no longer being available.

-quiet - (Optional) Execute the command quietly, returning no messages from the command. The command also returns TCL_OK regardless of any errors encountered during execution.
Note: Any errors encountered on the command-line, while launching the command, will be returned. Only errors occurring inside the command will be trapped.
-verbose - (Optional) Temporarily override any message limits and return all messages from this command.
Note: Message limits can be defined with the set_msg_config command.
<file> - (Optional) Write the QoR suggestions to the specified file. If no file extension is specified, the .rqs extension will be added. If the specified file exists, an error will be returned unless the -force option is also specified.
Note: If the path is not specified as part of the file name, the file will be written into the current working directory, or the directory from which the tool was launched.
Note: At least one of strategy_dir, tcl_output_dir, or a file must be specified.

Examples

Report QoR suggestions and write non-strategy suggestions to the specified file.
report_qor_suggestions
write_qor_suggestions C:/Data/qor_results.rqs
Report QoR suggestions and write both strategy and non-strategy suggestions.
report_qor_suggestions
write_qor_suggestions -strategy_dir C:/Data/strategy_dir C:/Data/qor_suggestions.rqs
This creates one RQS file for each strategy suggestion, generating up to three by default. Each RQS file includes one strategy suggestion and all non-strategy suggestions. This means that for subsequent runs, one RQS file per run is required.
Note: To make use of strategy suggestions, the directive for each implementation command (opt_design, place_design, phys_opt_design, and route_design) must be set to RQS. This can be configured automatically in project mode by sourcing the project mode Tcl script generated for each RQS file in the strategy_dir. There is also a non-project example Tcl script that demonstrates this requirement.