Step 1: Examine the Example Script - 2022.1 English

Vivado Design Suite Tutorial: Design Flows Overview (UG888)

Document ID
UG888
Release Date
2022-05-05
Version
2022.1 English
  1. Open the example script, <Extract_Dir>/Vivado_Tutorial/create_bft_kintex7_batch.tcl in a text editor and review the different steps.
    STEP#0: Define output directory location. 
    STEP#1: Setup design sources and constraints. 
    STEP#2: Run synthesis, report utilization and timing estimates, write checkpoint design. 
    STEP#3: Run placement and logic optimization, report utilization and timing estimates, write checkpoint design. 
    STEP#4: Run router, report actual utilization and timing, write checkpoint design, run drc, write verilog and xdc out.
    STEP#5: Generate a bitstream.

    Notice that many of the Tcl commands are commented out. You will run them manually, one at a time.

  2. Leave the example script open, as you will copy and paste commands from it later in this tutorial.