Removing I/O Ports from an Interface - 2022.1 English

Vivado Design Suite User Guide: I/O and Clock Planning (UG899)

Document ID
UG899
Release Date
2022-05-04
Version
2022.1 English

To remove I/O ports, in the I/O Ports window right-click a port, and select Unassign from Interface.