Preparing the Design Shared Library - 2022.1 English

Vivado Design Suite User Guide: Logic Simulation (UG900)

Document ID
UG900
Release Date
2022-04-21
Version
2022.1 English

The last step for producing a working XSI-based C/C++ program involves the compilation of a HDL design and packaging it together with the Vivado simulator to become your design shared library. You may repeat this step whenever there is a change in HDL designs source code.

CAUTION:
If you intend to rebuild the design shared library for your C/C++ program while your program continues to run, be sure to close the design in your program before executing this step.

Create your design shared library by invoking xelab on the HDL design and including the -dll switch to instruct xelab to produce a shared library instead of the usual snapshot for use with the Vivado simulator's user interface.

For example:

Type the following in the Linux command line to create a design shared library at ./xsim.dir/design/xsimk.so:

xelab work.top1 work.top2 -dll -s design

where work.top1 and work.top2 are the top module names and design is the snapshot name.

See xelab, xvhdl, and xvlog xsim Command Options for more details on compiling an HDL design.